Tag : digital trade finance bank

Anglo-Gulf Trade Bank Disrupts Global Trade Banking with Fenergo
Banking Digital Fintech News Trading

Anglo-Gulf Trade Bank Disrupts Global Trade Banking with Fenergo

Fintech News Desk
Addresses chronic shortage of trade finance to businesses globally with Fenergo’s API-led CLM solutions The world’s first digital trade finance bank, Anglo-Gulf Trade Bank (AGTB) Limited (“AGTB”), based in the United Arab Emirates (UAE), has chosen Fenergo, the leading provider of digital Client Lifecycle Management solutions (CLM) to deploy its CLM platform.......
1